CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ping pong

搜索资源列表

  1. ping_pong_buffer

    0下载:
  2. 用寄存器来实现乒乓缓存(Verilog HDL)-Ping-pong with the register to achieve cache (Verilog HDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:36590
    • 提供者:小强
  1. sram_060803

    2下载:
  2. SRAM的读写代码,对SRAM进行了乒乓操作,用VHDL语言进行设计,很有参考价值,甚至可以直接复制代码来进行自己的设计-SRAM read and write code, ping-pong operation carried out on the SRAM, using VHDL language design, of great reference value, or even directly copy the code to carry out their own designs
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-05
    • 文件大小:198424
    • 提供者:hongliang
  1. FPGA_common_idea

    1下载:
  2. 本文讨论的四种常用FPGA/CPLD 设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD 设计工作种取得事半功倍的效果。-This article discusses the four commonly used FPGA/CPLD design ideas and techniques: ping-pong operation, strings, and conversion, pipe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:114618
    • 提供者:hwei
  1. 乒乓球游戏源

    0下载:
  2. 乒乓球游戏源- Ping pong game source
  3. 所属分类:Game Program

    • 发布日期:2017-11-30
    • 文件大小:8787
    • 提供者:
  1. EP1C3_10_2_TENNIS

    0下载:
  2. 里面是个乒乓游戏机的试验程序 要的就下载吧 挺好的 用FPGA-There is a ping-pong game of the test procedure to download it on very good use of FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:186280
    • 提供者:
  1. CheckButton

    0下载:
  2. 一个带图片的乒乓Button,带有Checked的属性-A ping-pong with pictures Button, with the attributes of Checked
  3. 所属分类:.net

    • 发布日期:2017-04-07
    • 文件大小:3454
    • 提供者:Lance Yang
  1. pingpang

    0下载:
  2. 关于乒乓操作的,对于数据缓存有很大的用处-On the ping-pong operation of data cache for the great usefulness of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:167240
    • 提供者:敬亮
  1. ppong

    0下载:
  2. FGPA code to implement a ping-pong game. There will be LEDs simulating the path of ball , and two button representing the player. The player should hit the button when the ball reach his end. If the player hit the ball, it will go to the other player
  3. 所属分类:Button control

    • 发布日期:2017-03-29
    • 文件大小:197047
    • 提供者:lzm
  1. PingPongGame

    0下载:
  2. A Classic Ping Pong Game with one player. Game can be triying with PingPongTry.html which in the bin folder-A Classic Ping Pong Game with one player. Game can be triying with PingPongTry.html which in the bin folder
  3. 所属分类:Java Develop

    • 发布日期:2017-04-03
    • 文件大小:27528
    • 提供者:uuu
  1. edma_transfer

    0下载:
  2. This program uses the timers to trigger EDMA events. These events in turn trigger linked EDMA parameter tables to fill a ping pong buffer structure.Set a breakpoint on processbuff function. Then open two memory windows.Use ping as the address for one
  3. 所属分类:DSP program

    • 发布日期:2017-03-26
    • 文件大小:5907
    • 提供者:xpert
  1. DM642EDMA

    0下载:
  2. This program uses the timers to trigger EDMA events. These events in turn * trigger linked EDMA parameter tables to fill a ping pong buffer structure. * Set a breakpoint on swiProcessFunc(int arg). Then open two memory windows. * Use ping as
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:138334
    • 提供者:于海艳
  1. doublebuffer

    0下载:
  2. 本工程在DM642平台上利用ping-pong缓存技术实现了图像的二值化处理。 -This ping-pong caching technology works on the DM642 platform, binary image processing.
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1439473
    • 提供者:周一君
  1. ping-pong

    0下载:
  2. This a guide meant to help beginner s grasp the basic concepts in Delphi through the simple game of Ping-Pong. It includes manipulation of controls and uses the KeyDown Event to control the Paddles-This is a guide meant to help beginner s grasp the b
  3. 所属分类:Other Games

    • 发布日期:2017-11-08
    • 文件大小:3120
    • 提供者:kull
  1. Ping-pong-balls

    0下载:
  2. 详细介绍了乒乓球游戏,使用C语言来实现,使我们学会灵活使用C语言来编写使用程序。-Introduced the ping-pong game, using C language to achieve, we learn to make flexible use C language to write the program.
  3. 所属分类:CSharp

    • 发布日期:2017-04-06
    • 文件大小:3297
    • 提供者:小海
  1. ping-pong

    0下载:
  2. ping pong game with opengl and C++ a simple ping pong game with opengl
  3. 所属分类:Other windows programs

    • 发布日期:2017-06-13
    • 文件大小:20296521
    • 提供者:Aya Abdel Slam
  1. STM32-USART-DMA-ping-pong-operation

    0下载:
  2. USART&DMA乒乓操作接收任意长度数据(STM32) STM32 单片机 参考代码 完整工程,可以在阿莫论坛上找到相关讨论帖-USART & DMA ping-pong operation to receive data of arbitrary length. STM32 microcontroller reference code complete works. This code can be found in the discussion thread
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:866307
    • 提供者:PIC16F54
  1. Ping-Pong-Game-in-Actel-VHDL

    0下载:
  2. Ping-Pong Game in Actel VHDL
  3. 所属分类:Other Games

    • 发布日期:2017-04-29
    • 文件大小:117876
    • 提供者:Lucky
  1. Ping-Pong-game-in-java

    0下载:
  2. Ping-Pong-game-in-java using jaca code source
  3. 所属分类:Games

    • 发布日期:2017-04-30
    • 文件大小:25936
    • 提供者:belkhiri hocine
  1. code-ping-pong

    0下载:
  2. small game openGL c++ ping pong
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:2623
    • 提供者:QUTAIBA
  1. Ping-Pong Games with Arduino

    0下载:
  2. A ping pong game source code run on arduino uno
  3. 所属分类:单片机开发

    • 发布日期:2017-12-30
    • 文件大小:111616
    • 提供者:yikescloud
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com